Home

Awesome

<p align="center"> <a title="msys/MINGW-package GitHub repository" href="https://github.com/msys2/MINGW-packages"><img src="https://img.shields.io/badge/msys-MINGW--packages-894c84?longCache=true&style=flat-square&label=MSYS2&logo=GitHub&logoColor=fff"></a><!-- --> <a title="hdl/packages GitHub repository" href="https://github.com/hdl/packages"><img src="https://img.shields.io/badge/hdl-packages-f2f1ef.svg?longCache=true&style=flat-square&logo=GitHub&logoColor=f2f1ef"></a><!-- --> <a title="GitHub Actions workflow 'Doc'" href="https://github.com/hdl/MINGW-packages/actions/workflows/Doc"><img src="https://img.shields.io/github/actions/workflow/status/hdl/MINGW-packages/doc.yml?branch=main&longCache=true&style=flat-square&label=Doc&logo=GitHub%20Actions&logoColor=fff"></a><!-- --> <a title="GitHub Actions workflow 'Test'" href="https://github.com/hdl/MINGW-packages/actions/workflows/Test"><img src="https://img.shields.io/github/actions/workflow/status/hdl/MINGW-packages/test.yml?branch=main&longCache=true&style=flat-square&label=Test&logo=GitHub%20Actions&logoColor=fff"></a><!-- --> <a title="GitHub Actions workflow 'Build'" href="https://github.com/hdl/MINGW-packages/actions/workflows/Build"><img src="https://img.shields.io/github/actions/workflow/status/hdl/MINGW-packages/build.yml?branch=main&longCache=true&style=flat-square&label=Build&logo=GitHub%20Actions&logoColor=fff"></a><!-- --> </p>

MINGW-packages for EDA

<p align="center"> <a title="hdl.github.io/MINGW-packages" href="https://hdl.github.io/MINGW-packages"><img src="https://img.shields.io/website.svg?label=hdl.github.io%2FMINGW-packages&longCache=true&style=flat-square&url=http%3A%2F%2Fhdl.github.io%2FMINGW-packages%2Findex.html&logo=Asciidoctor&logoColor=fff"></a><!-- --> <a title="hdl/community on gitter.im" href="https://gitter.im/hdl/community"><img src="https://img.shields.io/gitter/room/hdl/community.svg?longCache=true&style=flat-square&logo=gitter&logoColor=fff&color=4db797"></a><!-- --> </p>

This repository contains references to package recipes (PKGBUILD files) for electronic design automation (EDA) tools/projects to be built as MinGW-w64 targets on MSYS2 (MINGW32 and MINGW64). The main purpose is coordination of contributions for upstreaming all tools/projects to official MSYS2 repositories, and having them updated periodically. The default package manager (pacman) allows installing multiple tools at once through group mingw-w64-*-eda (i686|x86_64) . Find further details, along with usage and contribution guidelines at hdl.github.io/MINGW-packages.