Home

Awesome

<p align="center"> <a title="PyPI vunit_hdl" href="https://pypi.org/project/vunit-hdl/" ><img src="https://img.shields.io/pypi/v/vunit_hdl?longCache=true&style=flat-square&label=PyPI&logo=PyPI&logoColor=FFF" /></a><!-- --> <a title="vunit.github.io" href="http://vunit.github.io" ><img src="https://img.shields.io/website.svg?label=vunit.github.io&longCache=true&style=flat-square&url=http%3A%2F%2Fvunit.github.io%2Findex.html" /></a><!-- --> <a title="Join the chat at https://gitter.im/VUnit/vunit" href="https://gitter.im/VUnit/vunit" ><img src="https://img.shields.io/gitter/room/VUnit/vunit.svg?longCache=true&style=flat-square&logo=gitter&logoColor=fff&color=4db797" /></a><!-- --> <a title="@VUnitFramework" href="https://www.twitter.com/VUnitFramework" ><img src="https://img.shields.io/twitter/follow/VUnitFramework.svg?longCache=true&style=flat-square&color=1DA1F2&label=%40VUnitFramework&logo=twitter&logoColor=fff" /></a> </p> <p align="center"> <a href="http://vunit.github.io"><img width="450px" src="docs/_static/VUnit_banner.png"/></a> </p> <p align="center"> <a title="Commits since latest release" href="https://github.com/VUnit/vunit/releases" ><img src="https://img.shields.io/github/commits-since/VUnit/vunit/latest.svg?longCache=true&style=flat-square&logo=git&logoColor=fff" /></a><!-- --> <a title="'docs' workflow Status" href="https://github.com/VUnit/vunit/actions/workflows/docs.yml" ><img alt="'docs' workflow Status" src="https://img.shields.io/github/actions/workflow/status/VUnit/vunit/docs.yml?branch=master&longCache=true&style=flat-square&label=docs&logo=GitHub%20Actions&logoColor=fff" /></a><!-- --> <a title="'images' workflow Status" href="https://github.com/VUnit/vunit/actions/workflows/images.yml" ><img alt="'images' workflow Status" src="https://img.shields.io/github/actions/workflow/status/VUnit/vunit/images.yml?branch=master&longCache=true&style=flat-square&label=imgs&logo=GitHub%20Actions&logoColor=fff" /></a><!-- --> <a title="'push' workflow Status" href="https://github.com/VUnit/vunit/actions/workflows/push.yml" ><img alt="'push' workflow Status" src="https://img.shields.io/github/actions/workflow/status/VUnit/vunit/push.yml?branch=master&longCache=true&style=flat-square&label=push&logo=GitHub%20Actions&logoColor=fff" /></a><!-- --> <a title="'coverage' workflow Status" href="https://github.com/VUnit/vunit/actions/workflows/coverage.yml" ><img alt="'coverage' workflow Status" src="https://img.shields.io/github/actions/workflow/status/VUnit/vunit/coverage.yml?branch=master&longCache=true&style=flat-square&label=coverage&logo=GitHub%20Actions&logoColor=fff" /></a> </p>

VUnit is an open source unit testing framework for VHDL/SystemVerilog. It features the functionality needed to realize continuous and automated testing of your HDL code. VUnit doesn't replace but rather complements traditional testing methodologies by supporting a test early and often approach through automation. Read more about VUnit.

Contributing in the form of code, docs, feedback, ideas or bug reports is welcome. Read our contributing guide to get started.

<p align="center"> <a href="http://vunit.github.io"><img width="550px" src="docs/_static/vunit_demo.gif"/></a> </p>